Средства разработки программируемой пользователем вентильной матрицы (FPGA) с открытым исходным кодом [закрываются]

31
задан Peter Mortensen 10 March 2016 в 19:14
поделиться

5 ответов

Icarus является реализацией Verilog с открытым исходным кодом. Вам все равно понадобится цепочка инструментов от вашего поставщика ПЛИС, чтобы получить код на самой ПЛИС.

13
ответ дан 27 November 2019 в 22:31
поделиться

Проект GEDA имеет несколько бесплатных инструментов EDA, которые вы можете захотеть проверить. Вышеупомянутый ICARUS является частью GEDA.

также проверить электронную лабораторию Федора . Это что-то новое для меня, поэтому я не могу предоставить больше информации.

2
ответ дан 27 November 2019 в 22:31
поделиться

, В то время как собственный, не было набора инструментальных средств с открытым исходным кодом с конца 90-х для Altera/Intel или Xilinx. Однако и Altera и Xilinx имели их бесплатную ограниченную версию довольно долго, вы были бы безопасным использованием их.

, Если бы вы хотите просто выучить язык, один из инструментов выше работал бы. Но я все еще использовал бы набор инструментальных средств настоящего поставщика. Так как вы просто записали бы стандартный Verilog или VHDL, вы могли взять свой источник другому поставщику, точно так же, как C.

1
ответ дан 27 November 2019 в 22:31
поделиться

Hmm, краткий обзор списка функций не вывел никаких функций «поиск назад» на меня, но то, что вы можете сделать, это обратить последовательность и поиск вперед:

SELECT LENGTH(`haystack`) - POSITION('needle' IN REVERSE(`haystack`))
-121--2760489-

В Mac OS X, TextMate обеспечивает почти идеальное решение этой проблемы. TextMate - это редактор программирования, который поддерживает сотни языков программирования и настраивается с помощью редактора пакетов. С помощью редактора пакетов можно добавить любой фрагмент кода, который может потребоваться запомнить, и соответствующим образом классифицировать его на соответствующем языке. Можно также назначить горячие клавиши или последовательности символов для вызова фрагмента и копирования его в текущий контекст редактирования.

Я считаю, что Notepad++ - это аналогичное средство для Windows, но я не уверен, что оно так же настраиваемо, как TextMate.

-121--3950616-

Я не думаю, что доступны полные цепочки инструментов с открытым исходным кодом. Возможно, вы захотите посмотреть веб-издание Altera. Бесплатная пробная версия. Можно использовать MIPS-подобный процессор Nios2 и программировать его в C и C++ (набор инструментов GNU). Также доступен порт Linux.

1
ответ дан 27 November 2019 в 22:31
поделиться

Там, вероятно, никогда не будет полной цепочкой инструментов с открытым исходным кодом FPGA, если только нам не удастся разработать архитектуру PPGA с открытым исходным кодом. Компании FPGA управляют форматами битового потока, используемые для программирования своих частей, и они имеют обременительный юридический язык в своих пользовательских соглашениях, которые делают его довольно опасным предложением, чтобы попытаться разработать инструменты с открытым исходным кодом путем обратной машины (никто не хочет потерять свой дом).

Хорошая новость, однако, состоит в том, что многие патенты, которые защищают архитектур FPGA, истекают в течение следующих нескольких лет. Это может позволить создать архитектуру PPGA с открытым исходным кодом. Конечно, вам понадобится несколько полупроводниковых компаний, чтобы начать на борту и фактически изготовить его ...

Как было указано, есть бесплатные инструменты моделирования HDL, такие как ICARE Verilog и GHDL (Frontend VHDL для GCC). Но все, что вы можете сделать с ними, моделирует ваш дизайн, чтобы убедиться, что это функционально правильно. Затем вам нужен какой-то инструмент синтеза, чтобы взять свой HDL на ворота и в конечном итоге до битоного потока. XILINX и ALTERA имеют бесплатные веб-издания таких инструментов, но они определенно не открывают источник.

27
ответ дан 27 November 2019 в 22:31
поделиться
Другие вопросы по тегам:

Похожие вопросы: