Как генерировать псевдослучайное число в FPGA?

Если Вы хотите отключить все ограничения в базе данных, просто выполняет этот код:

-- disable all constraints
EXEC sp_MSforeachtable "ALTER TABLE ? NOCHECK CONSTRAINT all"

Для переключения их назад на работайте: (печать является дополнительной, конечно, и она просто перечисляет таблицы)

-- enable all constraints
exec sp_MSforeachtable @command1="print '?'", @command2="ALTER TABLE ? WITH CHECK CHECK CONSTRAINT all"

, я нахожу его полезным при заполнении данных от одной базы данных до другого. Это - намного лучший подход, чем отбрасывание ограничений. Как Вы упомянули, это прибывает удобное при отбрасывании всех данных в базе данных, и повторно заполняя его (скажите в тестовой среде).

при удалении всех данных можно найти это решение быть полезными.

Также иногда удобно отключить все триггеры также, Вы видите полное решение здесь .

6
задан starblue 11 April 2014 в 20:27
поделиться

3 ответа

Это было рассмотрено (я бы выбрал LFSR): Генерация случайных чисел на Spartan-3E

6
ответ дан 8 December 2019 в 18:40
поделиться

Если это не для криптографии или других приложений с интеллектуальным противником (например, азартные игры), я бы использовал подход регистр сдвига с линейной обратной связью .

Он использует только исключительный или и сдвиг, поэтому его очень просто реализовать аппаратно.

3
ответ дан 8 December 2019 в 18:40
поделиться

Есть отличное примечание по применению Xilinx по эффективному созданию последовательностей псевдослучайных чисел в ПЛИС. Это XAPP052 .

4
ответ дан 8 December 2019 в 18:40
поделиться
Другие вопросы по тегам:

Похожие вопросы: