Макросы для упаковки и распаковки трехмерных массивов в Verilog

Я бы использовал линейную регрессию.

Машиноведение действительно связано с зависимостью между независимыми и зависимыми переменными, т. е. функцией.

В общем, я начинаю с более простых моделей. Модели Whitebox, особенно если у меня ограниченные данные и ресурсы. Сложные модели черных ящиков не всегда хорошо работают в небольших примерах.

Одна довольно хорошая модель черного ящика - случайный лес.

0
задан Yash Kant 19 January 2019 в 11:52
поделиться

1 ответ

Вы вложили свой generates.

У вас должна быть только одна пара generate..endgenerate с обоими for циклами внутри.

Также, если вы создаете экземпляр этого кода, ваши genvars объявляются дважды (genvar pk_idh; genvar pk_idd;). Даже если вы различаете их между пакетами и распаковками, вы можете вызывать каждый макрос только один раз для модуля.

Я бы также рекомендовал вам сначала попробовать код без макросов. Затем, когда синтаксис правильный и код работает, попытайтесь преобразовать его в макрос.

0
ответ дан Oldfart 19 January 2019 в 11:52
поделиться
Другие вопросы по тегам:

Похожие вопросы: