VHDL Case / When: несколько вариантов, одно предложение

Внутри процесса у меня есть что-то вроде этого:

CASE res IS
  WHEN "00" => Y <= A;
  WHEN "01" => Y <= A;
  WHEN "10" => Y <= B;
  WHEN "11" => Y <= C;
  WHEN OTHERS => Y <= 'X';
END CASE;

Обратите внимание, что case «00» и «01» получают то же самое стоимость. Есть ли правильный синтаксис для чего-то вроде

WHEN "00", "01" => ?

Дополнительное примечание: это гораздо больше, чем изменение Y, я просто использовал это для простоты. Так что случай / когда необходимо.

20
задан jay 3 July 2011 в 02:53
поделиться