Visual C ++ 10 переводит макрос __FILE__ в нижний регистр

Макрос препроцессора __ FILE __ в Visual C ++ 10 заменяется на имя исходного модуля, но в нижнем регистре, например

c:\path\to\my\file\somesource.cpp

, когда имя файла на самом деле

SomeSource.cpp

Можно ли изменить это поведение?

6
задан pesche 18 December 2010 в 13:03
поделиться