Преобразовать целое число на std_Logic

Предположим, у вас есть цикл

for i in 1 downto 0 loop
    for j in 1 downto 0 loop
        tS0 <= i;

, но мне нужно преобразовать целое число (что естественно) для std_logic. TS0 объявлен std_logic. Я делаю это только один бит (0 или 1). То есть мой I и j может только представлять значение {0,1}.

Я думаю, что я направляюсь к неправильному подходу здесь. Может кто-нибудь, пожалуйста, скажите мне, что я должен сделать вместо этого?

Я не думаю, что std_logic имеет метод to_unsigned. Я пытался отпустить TS0 , чтобы быть вектором (1 до 0), и назначен как TS0 (0) <= I и т. Д., Но он все еще не сработал.

Большое спасибо!

5
задан CppLearner 3 September 2011 в 00:06
поделиться