Как преобразовать строку в целое число в VHDL?

Я загружаю текстовые данные в тестовую скамью VHDL, и я хочу преобразовать входные строки в целочисленные значения.

Например: «123» => 123

Может кто-то рекомендовать «лучший» способ преобразования строк в целые числа в VHDL?

5
задан Ciro Santilli 新疆改造中心法轮功六四事件 29 June 2016 в 18:24
поделиться