Как объявить вывод с несколькими нулями в VHDL

Здравствуйте, я пытаюсь найти способ заменить эту команду: Bus_S <= "0000000000000000000000000000000" & Ne; чем-нибудь более удобным. Подсчет нулей по одному - не очень сложная задача. Программа про блок SLT для ALU в mips. SLT получает только 1 бит (MSB ADDSU32) и имеет на выходе 32 бита, все нули, кроме первого бита, который зависит от Ne = MSB ADDSU32. (пожалуйста, пока игнорируйте ALUop)

entity SLT_32x is
   Port ( Ne : in  STD_LOGIC;
         ALUop : in STD_LOGIC_VECTOR (1 downto 0);
         Bus_S : out  STD_LOGIC_VECTOR (31 downto 0));
end SLT_32x;

architecture Behavioral of SLT_32x is
begin
  Bus_S <= "0000000000000000000000000000000" & Ne; 
end Behavioral;

Есть ли способ использовать (30 вниз до 0) = '0' или что-то в этом роде? Спасибо.

12
задан markgz 24 January 2012 в 23:26
поделиться