Многомерный массив сигналов в VHDL

У меня есть сигнал в VHDL, объявленный следующим образом:

signal Temp_Key : std_logic_vector(79 downto 0);

Этот Temp_Keyпроходит через цикл for31 раз, и он изменен. Я хочу сохранить все 31 различных Temp_Keysв массиве.

Можно ли использовать многомерные массивы в VHDL для хранения 80-битных сигналов?

8
задан Martin Thompson 15 March 2012 в 11:16
поделиться