Inferring Latch во вложенном операторе If-Else (VHDL)

Сделав что-то вроде этой надежды, это поможет, это даст гласные, согласные каждого слова

public static void main (String args[]) 
        {
                Scanner stdin = new Scanner(System.in);
                String string1;
                System.out.println("Enter a string");
                string1 = stdin.nextLine();
                string1 = string1.toLowerCase();
                int count = 0;
                int vowels = 0;
                int consonants = 0;
                for (String retval: string1.split(" ")){
                     for (int i = 0; i < retval.length(); i++)
                {
                        char ch = retval.charAt(i);
                        if (ch == 'a' || ch == 'e' || ch == 'i' || 
                                        ch == 'o' || ch == 'u')
                        {
                                vowels++;
                        }
                        else
                        { 
                                consonants++;
                        }
                }
            System.out.println(retval.substring(0, 1).toUpperCase() + retval.substring(1)+" has "+vowels+" vowels and "+consonants+" cosonants");
         vowels=0;
         consonants=0;
      }

        }
-1
задан Namit Patel 21 February 2019 в 23:06
поделиться

1 ответ

Этот код абсолютно неверен. Это трудно исправить, потому что объединяет несколько ошибок в одном процессе.

Я попытаюсь перечислить некоторые из ваших ошибок:

  1. Список чувствительности не должен использовать output и reset_done.
  2. output <= output + 1; не могут быть синтезированы или создадут бесконечный цикл в симуляции
  3. , вам нужно разделить комбинационную и последовательную логику на два процесса
  4. reset_done и reset_cnt бесполезны, поскольку они всегда '1'
  5. reset_cnt является целым числом, это не может быть AND с булевым значением из выражения counter = 24
  6. никогда не писать output <= output;
[ 1118] Я предлагаю изучить комбинационные и последовательные процессы, а также шаблоны кодирования для VHDL.

0
ответ дан Paebbels 21 February 2019 в 23:06
поделиться
Другие вопросы по тегам:

Похожие вопросы: