Альтернативы readmemh в Verilog

Я пытаюсь загрузить значения из файла в такой двумерный массив.

 reg  [31:0] RAM[63:0];
 initial
      $readmemh("memory.dat",RAM);

Какие есть альтернативы? Если бы я хотел вместо этого жестко закодировать значения, какой код для этого?

5
задан toolic 10 December 2011 в 22:53
поделиться