Странное поведение XNOR в VHDL

Код, вызывающий проблемы, выглядит как обычная операция xnor, как вы можете видеть ниже:

S(1) <= L(16) xnor L(26);

Эта строка вызывает следующую ошибку:

ncvhdl_p: *E,EXPSMI (HDL/aes_sbox_enc_depth16.vhd,169|14): expecting a semicolon (';') [9.5.1].
ncvhdl_p: *F,MAXERR: maximum error count reached (1).
TOOL: ncvhdl 10.20-s075: Exiting on Feb 14, 2012 at 12:56:05 GMT (total: 00:00:01)

Кто-нибудь догадывается, что здесь происходит не так, точка с запятой явно присутствует. Возможно ли, что VHDL не поддерживает xnor, если да, то как мне его переписать?

Большое спасибо!

6
задан Patrick 14 February 2012 в 13:05
поделиться