5
ответов

Насколько наиболее часто используемый xilinx микросхемы?

Я начинаю учиться встроенный с C (и возможно некоторый C++), и кто-то из офиса сказал, что они готовы пожертвовать свободную xilinx микросхему, у них есть нахождение на их полке. Я думал больше вперед...
вопрос задан: 26 July 2010 19:16
3
ответа

Программирование VHDL на Linux?

Кто-либо знает, приятная атмосфера, чтобы программировать VHDL и моделировать его (не имейте значения Xilinx или Altera), использование Linux?
вопрос задан: 28 June 2016 18:57
2
ответа

Xilinx Vivado: блочный дизайн, диапазон адресов конечной точки каждого модуля

Рассмотрим схему, в которой PS (Zynq ARM A9) подключен к нескольким периферийным устройствам, где адресация изображена ниже. Как выделено фиолетовым цветом ниже, почему минимальный доступный для каждого ...
вопрос задан: 20 March 2019 04:49
2
ответа

Используя XILINX XPS с Микропламенем - самый быстрый способ программировать fpga

Я разрабатываю микро контроллер, базирующийся на основе микропроцессора микропламени на xilinx fpga. Большая часть настройки оборудования сделана. Все, что я обновляю в этой точке, является кодом c, на котором будут работать...
вопрос задан: 5 September 2009 00:14
0
ответов

Лучший маршрут для входных часов на Kintex 7 FPGA

Я ищу совет относительно не идеальной ситуации. Я унаследовал проект, в котором у нас возникли проблемы с дизайном оборудования. Мы генерируем часы на чипе, который подает их обратно на один час ...
вопрос задан: 20 March 2019 11:35
0
ответов

Проблема с назначением с std_logic_vector

Я пытаюсь написать компонент (mem_interface), который принимает 8-битные входные векторы для адреса и данных, записывает их в определенные позиции в больших векторных буферах в зависимости от индекса, а затем
вопрос задан: 4 March 2019 00:35
0
ответов

Собственная проблема с библиотекой: split_test_helper.h не найден

Я пытаюсь скомпилировать мой код, который использует библиотеку Eigen, но у меня возникли некоторые трудности. Я скомпилировал и запустил мою программу в Visual Studio 2017 без проблем, но так как я должен реализовать ...
вопрос задан: 16 January 2019 21:14
0
ответов

Получить результат функции IP-Core на простом проводе

Я использую следующий код, чтобы просто умножить и затем добавить числа FPU, используя IP-ядра. основной модуль (вход clk, выход [63: 0] tempO); `определить ltra 6000 reg [63: 0] dy ...
вопрос задан: 26 February 2015 12:59
0
ответов

Как сгенерировать файл схемы из источника Verilog в Xilinx

Что я делаю Я начал экспериментировать с Xilinx ISE Design Suite и написал простые арифметические логические единицы на языке Verilog. Использование Verilog Unit Under Tests для создания входных и выходных сигналов для ISim, ...
вопрос задан: 19 June 2014 16:42
0
ответов

В Verilog выводится целочисленное значение со знаком, хранящееся в переменной типа reg

Как мне напечатать целочисленное значение со знаком, хранящееся в 8-битном регистре, объявленном как reg [7: 0] acc; Использование $ display ("acc:% d", acc) печатает беззнаковое значение. Каков правильный синтаксис для $ ...
вопрос задан: 17 February 2012 08:06
0
ответов

Странное поведение XNOR в VHDL

Код, вызывающий проблемы, выглядит как обычная операция xnor, как вы можете видеть ниже: S (1) <= L (16) xnor L (26); Эта строка вызывает следующую ошибку: ncvhdl_p: * E, EXPSMI (HDL / ...
вопрос задан: 14 February 2012 13:05
0
ответов

Явно определить, как LUT и срезы используются в инструменте Xilinx XST?

Я пытаюсь реализовать некоторые очень специфическое поведение LUT и срезов, написанных на VHDL для Xilinx Virtex 5 FPGA, синтезированных с помощью инструментов XST. Я не знаю, смогу ли я добиться своего поведения, имея ...
вопрос задан: 2 March 2011 03:05
0
ответов

Идеи для гибкого / универсального декодера в VHDL

Я хочу создать декодер адреса, который был бы достаточно гибким, чтобы использовать его при изменении количества бит селектора и декодируемого выходные сигналы. Итак, вместо статического (фиксированного ...
вопрос задан: 24 January 2011 22:29