Присвойте синтезируемое начальное значение регистру в Verilog

Я новичок в ПЛИС, пытаюсь изучить Verilog. Как я могу «присвоить» значение регистру в постоянном блоке либо как начальное значение, либо как константу. Я пытаюсь сделать что-то подобное в коде ниже. Я получаю сообщение об ошибке, потому что 8-битная константа не считается вводом. Я также не хочу запускать всегда выключенные часы. Я просто хочу присвоить регистру определенное значение. Поскольку я хочу, чтобы он был синтезируемым, я не могу использовать начальный блок. Большое спасибо.

module top
(
    input wire clk,
    output wire [7:0] led   
 );


reg [7:0] data_reg ; 
always @*
begin
    data_reg = 8'b10101011;
end

assign led = data_reg;

endmodule
17
задан toolic 4 April 2012 в 14:31
поделиться