Как я могу разделить длинные операторы на строки в Verilog

Например, у меня есть один длинный оператор:

    $display("input_data: %x, 
              output_data: %x,
              result: %x",
              input_data,
              output_data,
              result);

Как я могу сделать это в один оператор и несколько строк в Verilog?

9
задан e19293001 17 July 2012 в 11:42
поделиться