1
ответ

Параллельное распределение сигналов с вектором в VHDL

Я пытаюсь скомпилировать этот код, используя GHDL, и я получаю ошибку: вместо 'not' ожидается '=>'. Я хочу, чтобы в коде не было ни процессов, ни неявных. библиотека ieee; используйте ieee ....
вопрос задан: 17 March 2019 19:53
0
ответов

Как мне скомпилировать и запустить программу VHDL на Mac с помощью ghdl?

Я только что написал простую программу VHDL. Я сохранил файл как VHD-файл. Затем я скомпилировал его с помощью ghdl -a test.vhd, а затем собрал исполняемый файл с тестом ghdl -e и, наконец, попытался запустить его ...
вопрос задан: 13 June 2016 12:42