Каково различие между $stop и $finish в Verilog?

Я использую средство моделирования GUI, и они оба, кажется, делают то же самое.

24
задан Steven 7 March 2010 в 04:00
поделиться

4 ответа

$ finish выходит из моделирования и возвращает управление операционной системе.

$ stop приостанавливает симуляцию и переводит симулятор в интерактивный режим.

29
ответ дан 28 November 2019 в 23:20
поделиться

Согласно IEEE Standard for Verilog (1364-2005, Section 17.4, "Simulation control system tasks"), $stop должен приостановить симуляцию, а $finish должен заставить симулятор выйти и передать управление обратно операционной системе хоста. Конечно, разные симуляторы могут реализовывать спецификацию совершенно по-разному, и не все симуляторы на 100% соответствуют спецификации.

Документация к вашему симулятору может предоставить более подробное описание его поведения, особенно в отношении режимов графического интерфейса и командной строки.

8
ответ дан 28 November 2019 в 23:20
поделиться

A ссылка для быстрой справки :

$finish;
    Finishes a simulation and exits the simulation process.
$stop;
    Halts a simulation and enters an interactive debug mode.
2
ответ дан 28 November 2019 в 23:20
поделиться

Эта ссылка в некоторой степени объясняет это.

$stop - When Verilog encounters a $stop, it pauses as if you sent a Ctrl-C.

$finish - Verilog exits as if you sent Ctrl-D when it encounters $finish.

Взято со страницы 15 этого indtroduction PDF.

приветствует

2
ответ дан 28 November 2019 в 23:20
поделиться
Другие вопросы по тегам:

Похожие вопросы: