ШИРИНА АДРЕСА из ГЛУБИНЫ ОЗУ

Я использую конфигурируемую DPRAM, где RAM DEPTH является параметром.

Как определить ADDRESS WIDTH из RAM DEPTH?

Я знаю соотношение RAM DEPTH = 2 ^ (ADDRESS WIDTH)

т.е. ADDRESS WIDTH = log (base 2) RAM DEPTH.

Как реализовать функцию log (base 2) в Verilog?

23
задан toolic 11 March 2011 в 18:02
поделиться