В Verilog выводится целочисленное значение со знаком, хранящееся в переменной типа reg

Как мне напечатать целое число со знаком, хранящееся в 8-битном регистре, объявленном как

reg [7: 0] acc;

Использование

$ display ( "acc:% d", acc)

Печатает беззнаковое значение.

Какой правильный синтаксис для функции $ display?

6
задан Nullpoet 17 February 2012 в 08:06
поделиться