Определено ли переполнение для VHDL numeric _std signed/unsigned

Если у меня есть unsigned(MAX downto 0), содержащий значение 2**MAX - 1, определяют ли стандарты VHDL (87|93|200X )то, что происходит, когда я увеличиваю его на единицу? (Или, аналогично, когда я уменьшаю его на единицу с нуля?)

5
задан detly 2 August 2012 в 01:16
поделиться