4
ответа

Как воспроизвести подобные C64 звуки?

Я провел часть своего собственного исследования и узнал, что микросхемы SID имели только немногих, аппаратные средства поддерживали функции синтезирования. Включая три аудио осциллятора с четырьмя возможными формами сигнала (зуб пилы, треугольник...
вопрос задан: 30 December 2018 20:19
2
ответа

Я хочу изучать аудиопрограммирование [закрыто]

В моей средней школе мы можем записаться на курс, на котором мы в основном изучаем предмет самостоятельно в течение семестра. Я думал, что хочу узнать о «звуковом программировании», но понял, что у меня нет ...
вопрос задан: 23 May 2017 11:44
1
ответ

Вивадо дает проблемы при попытке нарезать массив с помощью непостоянного целого числа

Я использую Verilog для дизайна и использую целое число, которое переопределяется каждый раз, когда блок Always работает на положительном фронте тактовой частоты Это прекрасно работает для одного из моих двух массивов в блоке Always ...
вопрос задан: 22 January 2019 13:24
1
ответ

понимание двоичного множителя с использованием диаграммы уровня затвора

У меня проблемы с пониманием следующего кода (bimpy.v), который выполняет операцию беззнакового 2-битного умножения. Редактировать: Добавлен комментарий от одного из моих друзей: следующая модификация делает то же самое ...
вопрос задан: 18 January 2019 15:48
0
ответов

Генерация/синтез звука с помощью python?

Можно ли заставить Python генерировать простой звук, похожий на синусоиду? Есть ли модуль для этого? Если нет, то как бы вы приступили к созданию своего собственного? Кроме того, вам понадобится какой-нибудь...
вопрос задан: 25 March 2019 22:16
0
ответов

Как создать файл .db из TSMC 65nm Standard Cell Library?

До этого я использовал стандартную библиотеку ячеек TSMC 180nm, и вот ее структура каталогов: в каталоге synopsys все выглядит следующим образом: файл slow.db используется для синтеза RTL ...
вопрос задан: 3 March 2019 18:00
0
ответов

Android Audio — Странное поведение потокового генератора синусоидальных тонов

Первый постер здесь. Обычно мне нравится находить ответ самому (будь то с помощью исследований или методом проб и ошибок), но здесь я зашел в тупик. Что я пытаюсь сделать: Я создаю простой Android-аудио ...
вопрос задан: 23 May 2017 02:33
0
ответов

@property и @synthesize в objective-c

Пока я играл и выяснял, как все работает в https://github.com/enormego/EGOTableViewPullRefresh, я обнаружил загадку @property и @synthesize. Вот код, который я упомянул ...
вопрос задан: 22 May 2014 13:07
0
ответов

Синтезатор звука с непрерывной формой волны

Я начинаю писать программный синтезатор со своеобразной характеристикой: генераторы будут иметь ручку "непрерывной формы волны", которая позволит пользователям выбирать синусоидальные, прямоугольные и зубчатые волны в ...
вопрос задан: 27 January 2012 08:51
0
ответов

Алгоритм синтеза частотной модуляции

На основе того, что я прочитал, я создал алгоритм для синтеза звука FM. Не уверен, правильно ли я сделал. При создании программного синтезатора используется функция для генерации осциллятора и ...
вопрос задан: 23 December 2011 02:44
0
ответов

Заботится ли синтезатор об одном или двух процессах?

Есть два популярных способа кодирования конечного автомата на VHDL: один процесс или два процесса. Ходят слухи (и в некоторых колледжах этому учат), что два процесса могут улучшить оборудование. ...
вопрос задан: 25 October 2011 09:37
0
ответов

Python head, tail и обратное чтение по строкам текстового файла

Как реализовать что-то вроде "головы" и "хвоста" У меня есть ситуация, когда базовый класс с именем AbstractRequest имеет свойство делегата типа id , объявленное в файле заголовка: @property (nonatomic, assign) ...
вопрос задан: 5 May 2011 10:17
0
ответов

VHDL: определение / отчет о битовой ширине / длине целого числа (по сравнению с std_logic_vector)?

Скажем, мне нужен сигнал для представления чисел от 0 до 5; очевидно, для этого требуется 3 бита std_logic (т.е. если MAXVAL = 5, то битовая ширина = {wcalc "floor (logtwo ($ MAXVAL)) + 1"}). Я знаю, что ...
вопрос задан: 4 March 2011 16:22
0
ответов

Звуковые аккорды в C #?

Я пробовал использовать Console.Beep () на низких миллисекундах, два воспроизводят две частоты «одновременно», но пауза между сигналами портит это. Я пробовал исследовать это, но ничего не нашел и не знаю ...
вопрос задан: 15 January 2011 23:59
0
ответов

Как синтезировать звуки фортепиано в android / java

Я сделал несколько простых приложений на android и подумал, что пора кое-что немного более сложный. Итак, я подумал, что попробую что-то, что уже есть, но построю это с нуля. Идея состоит в том, чтобы ...
вопрос задан: 3 December 2010 18:11
0
ответов

Можно ли синтезировать $ readmem в Verilog?

Я пытаюсь реализовать микроконтроллер на ПЛИС, и мне нужно предоставить ему ПЗУ для его программы. Если я использую $ readmemb, будет ли он правильно синтезирован в ПЗУ? Если нет, то каков стандартный способ ...
вопрос задан: 1 December 2010 04:00