0
ответов

Что такое отрицание (не) битового вектора в VHDL

Что означает отрицание битового вектора в VHDL? Например, если у меня есть 10100111, который представляет собой битовый вектор с именем temp, и я делаю что-то вроде temp: = not temp, каким будет мой вывод?
вопрос задан: 3 February 2011 03:22
0
ответов

What to use for VHDL/digital-logic simulation on Mac OS X

I suddenly realized that there is no Altera Quartus or Xilins ISE or ModelSim on Mac OS X. What do people use to at least simulate VHDL and schematic designs on Macs?
вопрос задан: 25 January 2011 10:23
0
ответов

Идеи для гибкого / универсального декодера в VHDL

Я хочу создать декодер адреса, который был бы достаточно гибким, чтобы использовать его при изменении количества бит селектора и декодируемого выходные сигналы. Итак, вместо статического (фиксированного ...
вопрос задан: 24 January 2011 22:29
0
ответов

Где я могу найти полный список кодов ошибок ModelSim?

Я запускаю VHDL через ModelSim. Каждая ошибка и предупреждение имеют свой собственный код ошибки (например: (vcom-1292) Направление диапазона среза "вниз", указанное в срезе с префиксом неизвестного направления. Это ...
вопрос задан: 20 January 2011 16:37
0
ответов

Creating a VHDL backend for LLVM?

LLVM is very modular and allows you to fairly easily define new backends. However most of the documentation/tutorials on creating an LLVM backend focus on adding a new processor instruction set and ...
вопрос задан: 9 September 2010 00:18